Skip to main content

Currently Skimming:

4. Enabling Manufacturing Technologies
Pages 143-181

The Chapter Skim interface presents what we've algorithmically identified as the most significant single chunk of text within every page in the chapter.
Select key terms on the right to highlight them within pages of the chapter.


From page 143...
... Production of microscale surface finishes on a material (for example, a polished metal surface on an aircraft engine turbine blade) is certainly different from making parts for MEMS devices on a similar size scale (for example, a microaccelerometer with submicrometer feature sizes)
From page 144...
... Living species are proof that complex three-dimensional structures with interacting functionality are possible. Integration of the top-down (lithography and pattern transfer)
From page 145...
... Each exposure requires multiple processing steps, including spinning on, prebaking, exposing, postbaking, developing the resist, pattern transfer, and removing the residual resist. Indeed, there may be about 200 processing steps for a modern integrated circuit.
From page 146...
... The switch to ArF lasers, which have a wavelength of 193 nanometers, has already begun for the production of chips with 100-nanometer linewidths. In a few years, the ITRS indicates that it will be necessary either to continue this migration to a 157-nanometer wavelength advanced optical lithography tool or to switch to a next-generation lithography approach.
From page 147...
... Beyond the methods that are in use or in contention for mass production of integrated circuits, a number of lithographic methods have been developed in the past decade. Not all of them offer the nanometer resolution that will be needed for IC production in coming years.
From page 148...
... but it does not function as a normal photoresist. There is no radiative transfer between the mask and polymer, and the chemistry of the polymer is not modified during pattern transfer.
From page 149...
... The molds for NIL can be prepared by a wide variety of the normal and developmental lithographic processes. For example, e-beam lithography has been used to make a mold with 10-nm-diameter pillars on a 40-nm pitch that were then imprinted into PMMA.~° A technique called step and flash imprint lithography essentially embosses a layer of liquid on a surface that is then turned into a solid using a photochemical processed This technique avoids the elevated temperatures and pressures ordinarily required for embossing.
From page 150...
... The substrates employed for MEMS devices include semiconductors other than silicon, notably silicon carbide, as well as ceramics, metals, and polymers. There are numerous processes used in the manufacture of MEMS devices that play no role in IC production.
From page 151...
... Figure 4-6 shows examples, and it also indicates that the rate at which the Bosch process etches into the silicon is geometry dependent.~3 The role of pattern transfer for the production of nanoscale structures and devices will probably be similar to that for the production of microscale structures and devices involving mechanics, optics, and magnetics. That is, the transfer processes used in microelectronics manufacture will remain important in many cases.
From page 152...
... Protein folding, nucleic acid assembly and tertiary structures, phospholipid membranes, ribosomes, microtubules, and the nucleocapsides of viruses are but some, representative examples of biological self-assembly in nature that are of critical importance to living organisms.~4 Aside from their ability to carry out the functions of life, one of the more remarkable features of self-assembled materials is that their structure may be very complex yet demonstrate long-range order. The power and beauty of spontaneous self-assembly derives from its ability to rapidly, and with seeming ease, generate large, complex, sophisticated "supermolecules," or ensembles of molecules, from easily available building blocks with high efficiency, generally under mild conditions (at or near room temperature, at atmospheric pressure, in water or other common media)
From page 153...
... An important feature of all self-assembly processes is the existence of a kinetically labile, reversible equilibrium between starting materials, intermediates, and products,26 with the final outcome under thermodynamic control (i.e., the end ensemble is the thermodynamically most stable one)
From page 154...
... Lithography has made enormous strides during the development of the integrated circuit. As discussed above, present lithographic limits are in the 100-nanometer range.
From page 155...
... has led to a spurt of activity. Traditional semiconductor epitaxial growth is carried out on large-area substrates, up to 300 mm in the case of silicon.
From page 156...
... Growth processes wherein a nanoscale mask is first defined and the material is then grown have shown some promise, but still exhibit poorer photoluminescence than fully self-assembled materials and have not yet produced device-quality material. Nanoscale patterned growth remains a promising active area of research with potential for important
From page 157...
... As noted elsewhere in this report, progress in silicon technology and manufacturing has been steady for perhaps 50 years and is projected out at least 15 years into the future and certainly well into the nanoscale regime. This progress and the utility of electronic semiconductor products caused semiconductor manufacturing to become one of the largest industries in the world and certainly one of the most sophisticated and creative.
From page 158...
... The lack of a monolithic integration process extending across a wide range of functional materials demands that discrete parts be assembled into a whole. Unfortunately, directed assembly technology has lagged seriously behind monolithic integration in miniaturization.
From page 159...
... To achieve high throughput, the parts and finished goods are arranged in a periodic array to allow parallel assembly. The parts consist of modular MEMS carriers that have either integrated MEMS devices or preattached component parts.
From page 160...
... DNA-Assisted Assembly Future photolithographic processes are expected to provide nanoscale metal oxide semiconductor transistors and other semiconductor-type devices. But truly monolithic, heterogeneous integration of photonic, microelectronic, MEMS, and microfluidic components into one chip is both difficult and expensive.
From page 161...
... gains importance, high-throughput pick-and-place techniques capable of handling many nanoscale devices will become critical. A future economically viable heterogeneous integration technique needs to provide for the rapid and parallel pick-and-place of nanoscale individual devices to desired locations on a host substrate with required accuracies and yields.
From page 162...
... When a device coated with a given strand type encounters a host area coated with the complementary strand type, it attaches via the hybridization of the complementary DNA strands (see Figure 4-9~. Because these strands bond to form the well-known double-helix structure, the devices remain fixed in position until electrical contacts can be formed in a subsequent process step.
From page 163...
... and proteins for transport and actuation functions. PACKAGING The packaging of integrated circuits involves many complex but well developed technologies.55 56 The packaging field has evolved in response to two major influences cost and the need to put chips ever closer together on printed circuit boards.
From page 164...
... However, even MEMS devices in sealed packages often cannot use the same packages that have been developed for the IC industry. In fact, many MEMS have sealed packages that are significantly more complicated than standard electronic packages.
From page 165...
... Dirt and rain in the atmosphere and particles and ions in low Earth orbit all challenge the long-term use of distributed MEMS on aerospacecraft. It is likely that the packaging of sensors, actuators, and systems based on nanotechnology will prove as varied and challenging as the packaging of MEMS devices and systems.
From page 166...
... Manufacturing Yield and Reliability In the past, most attempts to assure high IC reliability used product testing, life testing, or accelerated stress tests of the entire circuit. Because this approach to product testing is getting more expensive, more time consuming, and less able to identify the causes of parametric and functional failures of ICs, new approaches
From page 167...
... There is a strong correlation between the number of field and life test failures and the manufacturing yield.60 6i Kuper et al.62 and van der Pol et al.63 present models for the yield-reliability relation and experimental data to show the correlation. Thus, the root causes of reliability failures are the same as those of yield failures, and the manufacturing yield depends on the number of defects found during the manufacturing process, which in turn determines reliability.
From page 168...
... There are at least four prerequisites for effective commercialization of a new technology: Identification of existing products that will benefit from the new technology or of possibilities for creating new ones. Wide access to the technical details of the new technology.
From page 169...
... Government Role in Providing Wide Access to New Technology One excellent example of a successful government program that gives the design engineering community wide access to new microtechnology (in this case, MEMS) is the MEMS Exchange offered by the Center for National Research Initiatives and supported by DARPA.
From page 170...
... MOSIS accepts designs from commercial firms, government agencies, and research and educational institutions around the world. It integrates user designs for a common process onto a single mask set, supplies the mask set to commercial foundries for fabrication, dices the finished wafers, and ships the individual dies to their corresponding requesters.
From page 171...
... Furthermore, over the past 3 years, distributed, multiple-site MEMS device fabrication has been shown not only to be feasible but also to produce advanced and complex prototype devices with distinct advantages over those made at a single foundry. In the last 2 years, nearly 400 process sequence runs were successfully performed in the MEMS Exchange network for designers around the country, each run being different and customized for a particular application.
From page 172...
... . Fabrication sites enlisted in the MEMS Exchange include the University of California at Berkeley; Stanford University; Cornell University; the University of Michigan; Case Western Reserve University; Louisiana State University; the University of Illinois; Sony Semiconductor; Integrated Sensing Systems Corporation; Lance Goddard Associates; Microwave Bonding Corporation; Teledyne Electronics Corporation; Advanced MEMS Optical; Tactical Fabs, Inc.; Analog Devices; ASML; Zygo Teraoptics; Intelligent Micropatterning; Axsun Technologies; Aspen Technologies; Fiberlead, Inc.; and American Precision Dicing.
From page 173...
... was used for MEMS commercialization, the story of the Texas Instruments (TI) digital mirror device [DMD™]
From page 174...
... E B D FIGURE 4-13 Photomicrograph of the digital mirror device. Reprinted with permission of Michael Mignardi, Texas Instruments.
From page 175...
... Obtaining a robust, very bright light source turned out to be an enormous problem, and the light source is still a major cost component in the DMD system. One of the veteran engineers on the project at Texas Instruments, Michael Mignardi, recently gave an IEEE seminar detailing the scale of TI's effort to successfully produce the DMD.69 Even after feasibility had been demonstrated in the late 1980s, it took another 10 years to reach profitability, with the effort being spread roughly equally among device improvement, packaging, and testing.
From page 176...
... The AFRL R&D program will require access to micro- and nanolithography and pattern transfer tools. This should be accomplished using available national facilities or otherwise providing the function internally.
From page 177...
... Self-assembly and directed assembly of dissimilar elements will be necessary to maximize the functionality of many micro- and nanoscale structures, devices, and systems. Achievement of high yields and long-term reliability, comparable to those of the current integrated circuit industry, will be a major challenge.
From page 178...
... Air Force research efforts should be directed not only to the science of micro- and nanotechnology, but also to the development of devices and systems and wide access to the manufacturing technology required to produce them.
From page 179...
... IEEE Photonics Technology Letters 7(11)
From page 180...
... IEEE Photonics Technology Letters 13(10)
From page 181...
... 1993. Relation between yield and reliability of integrated circuits and application to failure rate assessment and reduction in the one digit fit and ppm reliability era.


This material may be derived from roughly machine-read images, and so is provided only to facilitate research.
More information on Chapter Skim is available.